DBI Ultra Die-to-Wafer Hybrid Bonding

DBI® Ultra is an enabling low-temperature, low profile die-to-wafer and die-to-die hybrid bonding technology platform. By eliminating the need for copper pillars and underfill, DBI Ultra enables a dramatically thinner stack as compared to conventional approaches. DBI Ultra also allows the stacking of die that are the same or different sizes, processed on fine or coarse wafer process technology nodes, or manufactured on the same or different wafer sizes while readily scaling down to 1 µm interconnect pitch, providing the ultimate 2.5D and 3D integration flexibility.


DBI wafer-to-wafer hybrid bonding is ideal for smaller, high yielding die-like image sensors, antenna switches and, more recently, 3D NAND. In contrast, DBI Ultra die-to-wafer hybrid bonding is suitable for larger die, such as DRAM, microprocessors, graphics processors and SoCs. DBI Ultra allows known good die to be bonded to other known good die, allowing for high yielding, multi-die stacked 2.5D and 3D assemblies.

  • USAGE: Die-to-wafer and die-to-die bonding with electrical interconnect
  • HOW: Wafer surfaces are planarized and bond pads are recessed in a dielectric layer; wafers are diced, cleaned, and activated; known good die are picked, aligned and bonded at room temperature on top of other known good die; metal interconnect is formed during low-temperature batch anneal
  • SOLUTIONS: High Bandwidth Memory (HBM) stacks of 4, 8, 12, 16 or more die, 2.5D / 3D integration of memory with CPUs, GPUs, FPGAs and/or SoCs for high performance computing
  • MARKETS: Data centers, autonomous vehicles, gaming, 5G infrastructure, data storage & supercomputers
DBI-ultra-stack

Differentiators

high-bandwidth

Ultra fine pitch interconnect enables high bandwidth

chemical-bond

Chemical bond without external bond pressure

low-temperature

Low temperature process

thermal-performance

Better thermal performance

zero-standoff

Zero standoff & thin profile

low-cost

Low cost with high bonding throughput

Details

DBI Ultra makes it possible to manufacture 4, 8, 12 or 16-high 3D stacked memory while meeting the stringent packaging height and performance requirements for next generation high-performance computing. DBI Ultra enables high bandwidth and high performance 2.5D and 3D integration of memory, CPU, GPU, FPGA or SoC.

manufacturing-DBI-Ultra
chemical-mechanical-polishing
dbi-ultra-die-to-wafer

DBI® Ultra Die-to-Wafer Hybrid Bonding

Download

Join Our Email List

We turn ideas into innovations, and we’re excited to bring you along with us. Get innovation delivered to your inbox.

Thank you! Your submission has been received!
Oops! Something went wrong while submitting the form.